Hello! Welcome to Embedic!
This website uses cookies. By using this site, you consent to the use of cookies. For more information, please take a look at our Privacy Policy.
Home > Embedded Events > Heterogeneous computing, the use of RISC-V true power

Heterogeneous computing, the use of RISC-V true power

Date: 02-09-2022 ClickCount: 281

Since its development in 2011, RISC-V has made amazing achievements. Now, RISC-V has accomplished the milestone of shipping more than 10 billion cores cumulatively in less time than the ARM architecture.

 

RISC-V will grow at an even more terrifying rate in the next few years. In addition to playing an important role in these original areas, RISC-V will certainly need to expand into more new areas, including the field of heterogeneous computing, which is crucial for RISC-V to be able to play the full range of advantages of the RISC-V instruction set and is expected to spawn a whole new computing chip system.

 

RISC-V and heterogeneous computing

To know what RISC-V offers in heterogeneous computing, it is important to figure out what each offers and how they can be combined.

 

As the concept of edge intelligence intensifies, high-performance computing has broken away from the traditional focus on data centers to become more colorful. Unlike traditional data computing, which primarily pursues computing power, edge intelligence's new wave of computing needs emphasizes energy efficiency and flexibility. Of course, whether it is the traditional arithmetic-centric or the future data-centric, the heterogeneous computing model will be of great value.

 

According to research firm Media, the global market for processor chips using Chiplet will reach $5.8 billion in 2024 and $57 billion by 2035. We all know that Chiplet is mainly oriented to large-scale computing and heterogeneous computing. Other markets linked to heterogeneous computing, such as AI and server chips, are also huge and growing rapidly. For example, statistics show that the server market for heterogeneous computing in China alone will reach $4.45 billion by 2023.

 

Heterogeneous computing mainly refers to using different types of instruction sets and architecture of computing units to form a system of computing, including different heterogeneous computing units, such as CPU, GPU, DSP, ASIC, FPGA, etc... Heterogeneous computing breaks through the traditional inertia of computing chip development, no longer emphasizing the core position of a particular type of computing chip in the system, but optimizing the performance, cost performance, power consumption, area and other indicators from the system level to reflect the comprehensive computing performance advantages.

 

Let's look at RISC-V. From the architectural level, the RISC-V instruction set has the technical advantages of open source, open, streamlined, low power consumption, modularity, scalability, etc... RISC-V organizes different parts together in a modular way and tries to meet different application scenarios through a unified architecture, which is not available in x86 and ARM architectures. Regarding modularity, RISC-V's approach divides the instruction set into several standard subsets, called extensions, and keeps some basic extensions, such as RV32I, forever. Currently, RISC-V has I extension - integer extension (RV32I), M extension - multiplication extension (RV32M), F extension - single precision floating point extension (RV32F), and D extension - double precision. These extensions are optional for all developers worldwide, bringing great design flexibility and potential possibilities.

 

RISC-V offers flexibility far beyond the x86 and ARM architectures within the heterogeneous computing framework. Because it is a latecomer architecture with no historical baggage and fewer constraints on innovation, RISC-V holds great promise for use in the era of heterogeneous computing.

 

Last December, Imagination Technologies announced the Catapult family of RISC-V central processing unit (CPU) products, fully innovative CPU products designed to meet the needs of next-generation heterogeneous computing.

 

Recently, Ali Pingtou released the Swordless 600, which is also a full-stack platform of software and hardware for high-performance RISC-V heterogeneous chips, achieving outstanding performance such as high performance, high memory bandwidth, heterogeneous computing, and artificial intelligence (AI) acceleration through the integration of multiple hardware such as CPUs, GPUs, and NPUs.

 

As you can see, the industry has already started to lay out RISC-V-based heterogeneous computing, and related products and platforms are already available, opening the door for RISC-V to enter higher and larger markets.

 

How RISC-V does heterogeneous computing well

The future of RISC-V in heterogeneous computing is excellent, but the challenges are not small. We all know that RISC-V's progress in heterogeneous computing and high performance is synchronized. Heterogeneous computing can be seen as an important grip on the RISC-V impact on the high-performance computing market. The challenges are more consistent with implementing high-performance computing based on RISC-V. Of course, there will be some challenges to the concept of heterogeneous computing.

 

RISC-V wants to make a difference in the field of heterogeneous computing, the richness and customization of products to be able to perfectly show, such as the current heterogeneous computing extended the concept of "XPU," RISC-V to achieve this. Moreover, the ARM architecture still dominates the XPU concept at this stage, and RISC-V must imitate and surpass it to fully release its modularity and flexibility advantages. In this process, the computing chip built on RISC-V also needs to meet the common standards, and the future also dominates the common interconnection standards.

 

Therefore, RISC-V to do heterogeneous computing is not just a simple enrichment of chip types and improves chip performance but also need to improve the software ecology, which may be more difficult than the hardware. But no matter how thorny the road is ahead, heterogeneous computing is a major trend in the industry that RISC-V must grasp. In terms of processor architecture, RISC-V, to achieve x86 architecture and ARM architecture, can not always rely on imitation of ARM, and heterogeneous computing has enough market capacity and breadth to let RISC-V out of its way, which is essential.

  • Optocoupler devices in home energy storage systems
  • How to choose an embedded system operating system: operating system features

Hot Products

  • C8051F717-GM

    Manufacturer: Silicon Labs

    IC MCU 8BIT 16KB FLASH 24QFN

    Product Categories: 8bit MCU

    Lifecycle:

    RoHS:

  • TMS320C6746BZCG4

    Manufacturer: Texas Instruments

    IC DSP FIX/FLOAT POINT 361NFBGA

    Product Categories: DSP

    Lifecycle:

    RoHS:

  • TMS320C6746BZWT4

    Manufacturer: Texas Instruments

    IC DSP FIX/FLOAT POINT 361NFBGA

    Product Categories: DSP

    Lifecycle:

    RoHS:

  • EFM32HG222F64G-B-QFP48

    Manufacturer: Silicon Labs

    IC MCU 32BIT 64KB FLASH 48TQFP

    Product Categories: 32bit MCU

    Lifecycle:

    RoHS:

Customer Comments

  • Looking forward to your comment

  • Comment

    Verification Code * 

Compare products

Compare Empty